Qucs как пользоваться пошаговая инструкция по применению

Время на прочтение
7 мин

Количество просмотров 3.1K

Qucs-S является программой с открытым исходным кодом для моделирования электронных схем. Qucs-S кроссплатформенный (поддерживаются Linux и Windows) и написан на С++ с использованием набора библиотек Qt. О базовых принципах работы с Qucs-S рассказывает моя предыдущая статья. Для работы Qucs рекомендуется использовать также открытый движок моделирования Ngspice. Актуальным релизом Qucs-S на текущий момент является версия 1.0.2.

В Ngspice начиная с версии 37 добавлена возможность моделирования матрицы рассеяния (иначе называемое моделирование S-параметров). Qucs-S также поддерживает данные вид моделирования начиная с версии 0.0.24. Моделирование S-параметров и анализ ВЧ схем всегда было ключевой возможностью симулятора Qucs, наследником которого является Qucs-S. Но для Qucs-S, имеются некоторые отличия, о которых будет рассказано далее. На КДПВ приведён пример моделирования полосового фильтра на диапазон 40 метров для любительского КВ трансивера.

Анализ схемы ДПФ для КВ трансивера в Qucs-S

Анализ схемы ДПФ для КВ трансивера в Qucs-S

Что такое S-параметры и для чего они нужны?

Кратко рассмотрим, что такое S-параметры четырёхполюсника. Четырёхполюсником является любая электронная схема, имеющая один вход и один выход. Если вход четырёхполюсника подключен к источнику переменного тока (генератору колебаний) с некоторым выходным сопротивлением Z1 , то часть энергии от источника передаётся на вход, а часть — отражается от входа. Такое же рассуждение справедливо и для выхода четырёхполюсника, к которому подключена нагрузка с сопротивлением Z2. Нормированные амплитуды падающей и отражённых волн можно связать через матрицу S-параметров.

Физический смысл S-параметров следующий:

  • S11 — коэффициент отражения Γ1 от входа четырёхполюсника. Показывает степень согласования между источником входного сигнала (генератором колебаний) и входными цепями четырёхполюсника. Если S11 = 0, то вся энергия от источника входного сигнала проходит на вход четырёхполюсника без отражения.

  • S12 — коэффициент обратной передачи. Показывает степень передачи энергии с выхода четырёхполюсника на вход.

  • S21 — коэффициент передачи. Равен коэффициенту усиления по мощности четырёхполюсника.

  • S22 — коэффициент отражения Γ2 от нагрузки. Показывает степень передачи энергии с выхода в нагрузку. Если S22 = 0, то вся энергия с выхода четырёхполюсника поглощается нагрузкой без отражения.

Матрицу S-параметров можно преобразовать в матрицы Y и Z-параметров. При этом Y-параметры представляют собой входную, выходную и проходную проводимость четырёхполюсника, а Z-параметры — сопротивления.

Объект моделирования

Прежде всего нужно убедиться, что Ngspice, используемый Qucs-S, имеет версию 37 или выше. Иначе моделирование S-параметров работать не будет.

Мы будем далее моделировать широкополосный усилитель высокой частоты (УВЧ) на биполярном транзисторе из книги Э. Реда «Высокочастотная схемотехника». Данный усилитель часто используется в схемах приёмников и трансиверов на любительские КВ диапазоны. Оригинальная схема из книги показана на рисунке.

Оригинал схемы широкополосного УВЧ

Оригинал схемы широкополосного УВЧ

Коэффициент усиления данной схемы примерно равен:

K=\sqrt{R_f/R_e}

С тем, чтобы собрать данную схему в Qucs-S проблем возникнуть не должно. Размещаем все необходимые компоненты на поле схемы и соединяем проводами. В качестве транзистора будем использовать 2N5109, который часто применяется в подобных схемах. Модель данного транзистора находится в библиотеке «BJT extended», где её можно найти при помощи функции поиска. Итоговая схема показана на следующем рисунке. Ко входу и выходу усилителя подключены 50-омные порты. Про них будет пояснение далее.

ВЧ трансформатор в цепи коллектора транзистора состоит из двух индуктивно связанных катушек L1 и L2. Связь между катушками задаётся через специальный компонент называемый «К coupling». Его параметрами являются обозначения на схеме двух катушек и коэффициент связи между ними.

Схема широкополосного УВЧ

Схема широкополосного УВЧ

Задание моделирования

После того, как схема собрана, нужно задать виды моделирования. Так же, как и было в Qucs, для Qucs-S предусмотрен специальный вид моделирования «S-parameter simulation», который задаёт параметры расчёта матрицы рассеяния многопортовой схемы. Найти данный вид моделирования можно в левой части окна в группе «Simulations». В качестве параметров моделирования требуется задать начальную (Start) и конечную частоты (Stop), а также количество точек расчёта (Points). Поддерживается линейная и логарифмическая развёртка частоты.

Компонент для задания симуляции S-параметров

Компонент для задания симуляции S-параметров

ДиЧтобы произвести моделирование S-параметров, необходимо к каждому из портов схемы подключить специальный источник, называемый Power source. Данный компонент находится в группе «Sources». С обычным источником переменного напряжения (AC voltage source) моделирование S-параметров функционировать не будет. В нашем примере такие источники подключены к узлам in и out.

Компонент для 50-омных портов

Компонент для 50-омных портов

В качестве параметров источника следует задать номер порта (Num), сопротивление порта (Z , должно быть действительным числом) в Ом, мощность синусоидального сигнала (P) в дБмВт и частоту (f) в Гц.

Теперь, когда схема собрана, можно запускать моделирование. Для этого в главном меню выбираем Simulation->Simulate или нажимаем клавишу F2. Перед моделированием можно также посмотреть рабочую точку схемы на постоянном токе, нажав клавишу F8.

Если в схеме не было ошибок, то Qucs-S известит нас о том, что моделирование прошло успешно. После этого можно будет построить графики частотной зависимости S-параметров, для чего размещаем на поле схемы декартовскую (Cartesian) диагрмму. Ngspice после моделирования создаёт набор переменных, которые представляют собой S, Y, Z параметры многополюсника. Применять функции преобразования подобные stoy(), которые использовались в Qucs больше не требуется. Окно задания свойств диаграммы, в котором виден перечень переменных показано на скриншоте. Из названия переменных понятно, что они представляют. Всего у нас доступно 12 переменных, по четыре для каждого типа параметров S, Y, Z. По сравнению с Qucs, синтаксис данных переменных поменялся. Теперь номера портов задаются следом за буквой через символ подчёркивания.

Диалоговое окно свойств диаграммы с переменные, созданные после моделирования

Диалоговое окно свойств диаграммы с переменные, созданные после моделирования

Мы построим два графика. На одном будут параметры S11 и S22 (коэффициенты отражения от входа и выхода соответственно), а на другом параметры S21 (прямой коэффициент передачи) и S12 (обратный коэффициент передачи). Схема с размещёнными на её поле графиками показана на следующем скриншоте.

Схема широкополосного УВЧ с результатами моделирования

Схема широкополосного УВЧ с результатами моделирования

Видно, что схема обеспечивает равномерное усиление в полосе частот от 1 МГц до 30 МГц, что перекрывает весь КВ диапазон.

Обработка результатов моделирования S-параметров

Раньше в Qucs cуществовали математические функции подобные rtoswr(), специально предназначенные для использования совместно с моделирование S-параметров. Для Qucs-S с движком Ngspice такие функции больше не действуют. Нужно писать свои уравнения. В качестве примера рассчитаем КСВ по входу и выходу схемы и переведём коэффициент усиления схемы S21 в децибелы.

Как известно, КСВ связан с коэффициентом отражения Г следующим соотношением:

SWR=\frac{1+|\Gamma|}{1-|\Gamma|}

Теперь нужно составить уравнения Nutmeg – постпроцессора Ngspice. Для этого нажимаем в главном меню Insert→Insert equation. На схеме появляется специальный компонент, в котором задаётся уравнение. В свойствах уравнения выставляем тип моделирования, к которому оно относится. Для нашего случая это SP – моделирование S-параметров. Там же вписываем собственно уравнения, которые переводят прямой коэффициент передачи в децибелы и рассчитывают КСВ. Мы рассчитываем КСВ по входу и по выходу, и поэтому в качестве коэффициента отражения берём S11 и S22.

Диалог задания уравнения

Диалог задания уравнения

На схеме данный компонент выглядит следующим образом:

Теперь если запустить моделирование, то к списку переменных добавляется три новых переменных K, VSWRin и VSWRout, которые можно вывести на графики. Получаем следующие графики. На скриншоте они построены на отдельной вкладке.

Графики коэффициента усиления в децибелах и КСВ

Графики коэффициента усиления в децибелах и КСВ

Также имеется возможность получить вывод в децибелах без добавления уравнений на схему. Для этого нужно в свойствах диаграммы установить параметр «logarithmic left Axis Grid» и выбрать единицу измерений из выпадающего списка. В этом же окне на той же вкладке можно выбрать «Engineering notation» в списке «Number notation» и видеть по оси X отсчёт в мегагерцах, как на скриншоте выше. В том же диалоговом окне можно задать подписи по осям (Axis label).

Настройка осей диаграммы

Настройка осей диаграммы

Также в Qucs-S имеется возможность построить графики частотных зависимостей S-параметров на комплексной плоскости (Locus curve) или в виде диаграммы Смита (Smith chart). Пример такого графика для диапазонного полосового фильтра показан на скриншоте. Если поставить маркер на диаграмме Смита, то программа автоматически для S-параметра будет показывать значения соотвествующего Z-параметра. Например параметру S11 соответствует параметр Z11, который является входным сопротивлением схемы. Из диаграммы Смита видно, что в полосе пропускания фильтра оно равно около 50 Ом.

Моделирование ДПФ для КВ трансивера и пример использования диаграммы Смита

Моделирование ДПФ для КВ трансивера и пример использования диаграммы Смита

Дополнительные возможности для моделирования ВЧ схем

Старый Qucs поддерживал большое количество компонентов, представляющих различные микрополосковые линии и прочие СВЧ устройства. К сожалению, в Qucs-S эти компоненты пока не работают с движком Ngspice и поэтому спрятаны. Но работа по их возвращению запланирована https://github.com/ra3xdh/qucs_s/issues/94

Тем не менее в релизе 1.0.2 были добавлены два компонента INDQ и CAPQ, которые позволяют задавать катушку и конденсатор с добротностью, что удобно для анализа различных фильтров и усилителей. На скриншоте можно видеть пример реверсивного резонансного усилителя на полевых транзисторах конструкции В. Артёменко UT5UDJ, где используются такие катушки. Производится параметрическое моделирование зависимости коэффициента усиления от добротности катушки.

Модель реверсивного УПЧ на полевых транзисторах

Модель реверсивного УПЧ на полевых транзисторах

Также начиная с версии 0.0.24 в библиотеке компонентов доступен специальный компонент для кварцевого резонатора. При этом данный компонент сделан таким образом, чтобы его параметры было удобно задавать для моделирования кварцевых фильтров, применяющихся в любительских конструкциях КВ техники. На скриншоте можно видеть пример моделирования кварцевого QER фильтра ПЧ на частоту 8 МГц. Параметры кварцев на схеме измерены на настоящих кварцах в корпусе HC-49/U при помощи известного прибора nanoVNA и программы AMCP https://github.com/Battosai42/amcp/

Моделирование АЧХ кварцевого фильтра на частоту 8 МГц

Моделирование АЧХ кварцевого фильтра на частоту 8 МГц

Ресурсы по Qucs-S

  • Сайт проекта: https://ra3xdh.github.io/

  • Сайт Ngspice: https://ngspice.sourceforge.io/

  • Репозиторий исходных кодов: https://github.com/ra3xdh/qucs_s

  • Актуальный релиз: https://github.com/ra3xdh/qucs_s/releases/tag/1.0.2

  • Страница на Boosty, где можно поддержать проект финансово: https://boosty.to/qucs_s

Logo alt company small.png

В. Н. Гололобов

Qucs – почти универсальный симулятор электрических цепей

Библиотека ALT Linux

Ссылка статус
Электронная версия рукопись
Печатная версия , , ISBN

Формат: . Тираж: . Объем книги: 204393 знаков (без пробелов), 370 рисунков (снимки экрана).

Рекомендуемый дистрибутив

Программа Qucs относится к программам EDA (САПР), но в отличие от своих коммерческих собратьев распространяется свободно. Есть версии программы для всех распространенных ОС. Qucs — свободная программа, которая по возможностям не уступает другим аналогичным программам, что может быть немаловажно как для отдельных пользователей, так и для учебных заведений. Программа имеет хороший раздел помощи, но не имеет пока руководства пользователя. Книга в первую очередь предназначена восполнить этот пробел.

Целевая аудитория книги – радиолюбители, профессионалы, не специализирующиеся на разработке электроники (хотя и для специалистов программа, возможно, не менее интересна), преподаватели учебных заведений, учащиеся.

Использованию компьютеров в процессе обучения подчас мешает нехватка средств на покупку дорогостоящего программного обеспечения. СПО, включая операционную систему Linux и такие программы, как Qucs, помогают решить эту проблему.

В разное время и в разных издательствах выходили книги, рассказывающие о Multisim (Марк Хернитер, Multisim 7 – Современная система компьютерного моделирования), MicroCAP (Амелин С.А., Амелина М.А., Программа схемотехнического моделирования Micro-CAP 8) – программах EDA очень популярных в учебных заведениях. Но если не все, то значительную часть задач, решаемых этими программами в учебном плане, может выполнить свободно распространяемая программа Qucs. Книг, рассказывающих об этой программе, я не знаю.
Познакомиться со всеми материалами, касающимися Qucs можно на сайте проекта.

Содержание

Предисловие

Часть 1. Начальные сведения

Эта часть книги целиком посвящена описанию пользовательского интерфейса программы Qucs. Хотя меню программы безусловно похоже на меню любой другой программы, оно имеет специфические разделы, назначение и смысл которых поясняются в этой части (Глава 1 и Глава 2).

Кроме описания интерфейса в Главе 3 показано, как можно нарисовать (ввести) схему в рабочем поле, как добавить необходимый вид моделирования, и в каком виде можно получить результаты работы программы.

  • Глава 1. Основные элементы интерфейса
    • Вид программы
    • Основное меню, Файл
    • Основное меню, Правка
    • Основное меню, Расположение
    • Основное меню, Вставка
    • Основное меню, Проект
    • Основное меню, Инструменты
    • Основное меню, Моделирование
    • Основное меню, Вид
    • Основное меню, Справка
  • Глава 2. Дополнительные элементы интерфейса
    • Инструментальные панели Qucs
    • Панель навигации
    • Выпадающие меню
    • Диалоговые окна
    • Числа и имена в программе Qucs
    • Формулы
    • Документ
  • Глава 3. Ввод схемы
    • Компоненты
    • Моделирование
    • Диаграммы

Часть 2. Работа с программой

В этой части рассказано о компонентах, из которых «собирается» схема в программе, Глава 1. Здесь же дополняется предыдущий рассказ о видах моделирования и диаграммах, Глава 2.
В Главе 3 приведены примеры использования программы для нескольких конкретных целей.

  • Глава 1. Компоненты
    • Дискретные компоненты
    • Источники
    • Нелинейные компоненты
    • Виды моделирования и диаграммы
  • Глава 2. Моделирование
    • Моделирование на постоянном токе
    • Моделирование на переменном токе
    • Моделирование переходного процесса
    • Развёртка параметра
    • Цифровое моделирование
  • Глава 3. Применение Qucs
    • Обучение
    • Исследование
    • Практика

Часть 3. Возможности Qucs

Кроме обычных компонентов, таких как резисторы и транзисторы, программа имеет ряд вспомогательных средств для работы со схемами: это и подсхемы, и файловые компоненты. Кроме того в Главе 1 коротко рассказано о том, как пополнить компоненты для работы с программой.
В Главе 2 приведены примеры соответствия параметров некоторых «реальных» компонентов электрических схем и «виртуальных» компонентов программы.
А в Главе 3 показано, на примере нескольких глав из учебника, как использование программы может помочь в освоении предмета.

  • Глава 1. Некоторые расширения программы
    • Источники
    • Подсхемы
    • Файловые компоненты
    • Виды моделирования
    • Пополнение компонентов
  • Глава 2. Теория и практика
    • Диод
    • Стабилитрон (или диод Зенера)
    • Транзистор
  • Глава 3. Читая учебник
    • Напряжение, ток, мощность
    • Элементы электрической цепи
    • Законы Ома и Кирхгоффа
    • Последовательное и параллельное соединение резисторов
    • Резистивные делители
    • Метод контурных токов и узловых потенциалов
    • Преобразование источников
    • Операционный усилитель
    • Индуктивность, ёмкость и взаимоиндукция
  • Заключение

Для хорошей работы нужен хороший инструмент. И об инструментах электронщика можно разговаривать очень долго. Мультиметр, паяльник и осциллограф — это капля в море. Но есть один инструмент, про который легко забыть, потому что он «сам собой подразумевается».

Компьютер. Обычный персональный компьютер, правда, заряженый специальным софтом. В основном это САПР для схем и плат, а также среда разработки для прошивок. Но прежде чем мы ломанемся увековечивать мысль в текстолите, нужно обрести некоторую уверенность в своей схеме. Добиться этого можно по-старинке, расчетами на бумаге и макетированием. Однако, можно сделать иначе — прибегнуть к компьютерному моделированию. Ну а что, формул в электротехнике много, а компьютер по сути есть большой калькулятор.

В этой заметке хочется рассказать про Qucs. Он не так известен как Протеус или LTSpice, но вполне себе хорош. Кроме того, он относится к свободному ПО, что также дает ему бонусные очки. Правда, работа в Qucs имеет свои нюансы, которые сподвигли меня сделать небольшой видео-туториал (также на RT). Если вам нужен быстрый старт и «куда там тыкать» — рекомендую к просмотру. Здесь же я сфокусируюсь на впечатлениях, на сравнении Qucs и LTSpice, ну и попутно дам пару подсказок из упомянутого видео.

В самом начале работа в программе выглядит довольно простой. Создал проект и погнали рисовать. Библиотеки организованы вполне удобно, инструменты мыши тоже понятные. Единственное, что напрягало — это переворот компонентов при установке. Почему-то комбинация Ctrl+R работает только на установленном компоненте, а «в курсоре» элемент надо вращать правой кнопкой мыши. Впрочем, редактировать схему в Qucs мне все равно понравилось больше, чем в LTSpice.

Сложности для нового пользователя наступают тогда, когда дело доходит до моделирования. В принципе, основная идея такая же, как в LTSpice — на схеме размещается объект «блок моделирования», через который мы приказываем программе «копать от забора до обеда». Однако подход к пользователю у программ разный. Qucs требует ручного управления и полного понимания ситуации — блок моделирования ставим руками, имена цепей задаем явно, отображение результата также организуем вручную, добавляя нужную величину в свойствах таблицы или графика. LTSpice автоматизирует все эти вещи. Имена цепям давать не обязательно, достаточно тыкать в них «щупом», и график сам вылезет.

Справка в Qucs скудновата на обьяснение основ, но кроме нее вместе с программой распространяется официальный «быстрый старт» на английском — документ с несколькими упражнениями, разжеванными по шагам. Более того, в первом упражнении делают пару отступлений и знакомят с записью чисел, поддерживаемыми единицами измерения и форматом записи величин. В общем, хотя бы первые 20 страниц просмотреть стоит.

Потом, все же, возникают вопросы. Во-первых, сложность примеров в руководстве скачет — сразу за делителем напряжения на постоянном токе почему-то идет пример с характеристиками транзистора, а элементарный пример с полосой пропускания RC-фильтра задвинут в конец документа. Во-вторых, при беглом просмотре тех 46 страниц я так и не увидел способа измерения тока в произвольной ветке.

Вопрос с измерением тока рассмотрим поближе. В руководстве сказано, что названия величин в программе состоят из двух частей. Напряжение в узле node будет называться node.V, а ток через компонент name будет называться name.I. Все вроде бы понятно, но прикол в том, что мы можем наблюдать только величины из автоматически сгенерированного списка. В первом примере (делитель напряжения) список будет содержать только напряжения в узлах, которым мы явно задали метки, и ток через источник постоянного напряжения. Там нет токов через резисторы! При этом авторы руководства тонко пошутили над нами — в примере с транзистором измеряется ток через коллектор, да, но коллектор подключен напрямую к источнику напряжения. То есть ток коллектора равен току через источник питания, который в списке появится по-любому.

Как решается этот вопрос? Ставим в разрыв компонент «измеритель тока», как на картинке. Не особо элегантно, конечно, но в целом приемлемое решение.

qucs измерение тока current probe

В целом же работа в Qucs мне пока что понравилась. Эдакий «электротехнический MathCAD» — упор на гибкость в оформлении документа. Несмотря на пугающий номер версии с двумя нулями впереди, все выглядит цивилизованно :D В общем, попробуйте сами.

Понравилась статья? Поделить с друзьями:
  • Quattroclima qv e09wa qn e09wa инструкция
  • Quad core t3 p1 магнитола инструкция по эксплуатации
  • Quick deck инструкция по монтажу
  • Quattroclima bergamo инструкция к пульту
  • Quad core t3 p1 магнитола инструкция по применению